VHDL

FPGA

【FPGA学習】VHDLの基本的な記述の仕方(半加算器を作ってみる)

FPGAの使い方のお勉強として、今回は『半加算器』をVHDLで作成してみたいと思います。内容としては超簡単なものですが、まずはVHDLの書き方の勉強ということでやってみます。ちなみに、筆者はFPGA初心者で、備忘録として勉強した内容をブログ...
FPGA

【FPGA学習】分周器を作る②~シミュレーションで動作確認~

前回作成した分周器のVHDLプログラムについて、ModelSimというシミュレーションソフトを使って、動作確認を行っていきたいと思います。前回のVHDL作成の記事はこちらです。 ちなみに、筆者はFPGA初心者で、備忘録として開発環境を整える...
FPGA

【FPGA学習】分周器を作る①~VHDLでの記述~

FPGAの使い方のお勉強として、今回は『分周器』をVHDLで実装していきたいと思います。分周器とは、クロック信号等の周波数を整数分の1に落とす装置のことです。例えば、16MHzのクロック信号を4分の1の4MHzにするといった感じです。 ちな...